Skriv ut

I princip alla avancerade logikkretsar, liksom alla DRAM, Nand och bildsensorer har varit i kontakt med maskiner från EV Group och IMS Nanofabrication under tillverkningsprocessen. De två österrikiska företagen är helt dominerande när det kommer till produktion av avancerade fotomasker respektive waferbondning.

Att nederländska ASML har monopol på de mest avancerade litografimaskinerna känner nog de flesta till och att Mycronic är i samma position när det gäller maskritare till fotomasker för displayer bör inte vara någon nyhet för Elektroniktidningens läsare. Men att också österrikiska EV Group och IMS Nanofabrication är oumbärliga i halvledarfabrikerna kommer nog som en överraskning för de flesta.

Det är nyhetsbrevet Semianalysis som lyfter fram de två österrikiska företagen som exempel på hur komplex och sammanflätad världen är när det kommer till halvledartillverkning.

Och det orimliga i att försöka skapa ett oberoende ekosystem i USA, Europa eller Kina.

IMS Nanofabrication grundades i Wien 1985 och framlevde de första decennierna i skymundan. År 2009 hade utvecklingen kommit så långt att Intel gjorde en första investering och några år senare förvärvades hela företaget.

Det som lockade den amerikanska jätten var IMS Nanofabrications maskritare för fotomasker till processer under 7 nm. Maskinen lanserades år 2016 och skapar masker som sedan används i ASML:s litografimaskiner.

Företaget har idag en marknadsandel på över 95 procent, skriver Semianalysis.

Det andra österrikiska företaget är EV Group som gör lite av varje. I det här sammanhanget är det företagets maskin för att bonda ihop wafers som är det intressanta. Att sätta ihop två wafers – bonda – har blivit en standardteknik som bland annat Sony, Samsung och Omnivision använder vid tillverkning av bildsensorer.

Maskinen används också av den kinesiska minnestillverkaren YMTC för att skapa extremt stora Nand-minnen. Konkurrenter inklusive SK Hynix, Kioxia, Western Digital, Samsung och Micron planerar också att börja använda tekniken.

Men maskinerna ser också ut att vara en förutsättning för logik på 2 nm och under. Tanken är att flytta spänningsmatningen från lagren ovanpå transistorerna till undersidan genom att bonda ihop wafern med transistorer och det ledningsnät som kopplar ihop dem,  med en separat wafer för kraftmatningen.

Intel planerar att börja använda tekniker inom två eller tre år och TSMC strax därefter.

Det här är bara två exempel på hur komplex halvledartillverkning är och att det finns en rad företag som det är svårt att ersätta.

Inte ens många tusen miljarder dollar och ett decennium skulle vara en garanti för att lyckas skapa ett självständigt ekosystem för avancerad halvledarproduktion, summerar artikeln i Semianalysis.