JavaScript is currently disabled.Please enable it for a better experience of Jumi. System Verilog får utökad standard
Standardiseringsorganisationen IEEE för samman konstruktionsspråken Verilog och dess utökning System Verilog till en ny standard som får beteckningen IEEE1800.
Standardiseringsarbetet av konstruktionsspråket System Verilog utökas nu till att även infatta den tidigare standarden av föregångaren Verilog, även kallad IEEE1364. Därmed försäkras bakåtkompatibiliteten.

Därtill ska System Verilog i sin standardiserade form utökas med förbättrade så kallade ”assertions”, integration med analoga och blandat analog-digitala konstruktioner och stöd för interoperabilitet med språken VHDL och SystemC. I sin nya form beräknas standarden bli klar i slutet av 2008.

- Det här ger konstruktörer av både kretsar och system ett enda språk för såväl konstruktion som verifiering, med brett stöd från verktygsbranschen säger Johny Srouji, ordförande i arbetsgruppen IEEE P1800 (P står för Preliminary).

Den nuvarande standarden för System Verilog klubbades i november i fjol.
MER LÄSNING:
 
KOMMENTARER
Kommentarer via Disqus

Anne-Charlotte Lantz

Anne-Charlotte
Lantz

+46(0)734-171099 ac@etn.se
(sälj och marknads­föring)
Per Henricsson

Per
Henricsson
+46(0)734-171303 per@etn.se
(redaktion)

Jan Tångring

Jan
Tångring
+46(0)734-171309 jan@etn.se
(redaktion)